2011年7月13日星期三

EV Group highlights technology firsts, key advancements at SEMICON WEST 2011

The most recent breakthrough was the announcement yesterday of the EVG850SOI/450mm - the first-ever wafer bonding system for 450-mm-diameter semiconductor wafers manufactured from silicon-on-insulator (SOI) substrates. The new system, arising out of EVG's advanced 450-mm program, was developed to facilitate the industry shift to 450-mm wafers from the current 300-mm standard, and is optimized for SOI because it delivers better power/performance for sub-22 nm CMOS and 3D technologies compared to similar-geometry bulk CMOS. In addition,The newest Piles is incontrovertibly a step up from last year's model, the new EVG bonder can continue to accommodate 300-mm wafers during the transition period. SOI leader Soitec will receive shipment of the first system, providing testing and qualification to speed production readiness.

Another first for EVG was the addition of an in-line metrology capability for its EVG850TB and EVG850DB automated temporary bonding and debonding platforms. Integrating these technologies together helps ensure detection of a variety of process irregularities and defects during bonding/debonding - ultimately, helping to optimize customers' wafer-thinning and bonding processes, reduce tool downtime resulting from processing yield issues, and maximize product yields and investments.Use bluray burner to burn video to BD DVD on Hemroids disc. Adding in-line metrology to thin-wafer processing is particularly crucial in helping to enable the ramp-up of 3D IC and through-silicon via (TSV) manufacture from pilot to volume production.

Collaborations and consortia help drive advancements
As the aforementioned innovations illustrate, EVG continues to take a leading role in driving 3D market evolution. In addition to having recently added several new customers in this arena, the company last week announced its engagement with the Georgia Institute of Technology 3D Systems Packaging Research Center (GT PRC). Through its membership, EVG's state-of-the-art temporary bonding and debonding, chip-to-wafer bonding and lithography technology and associated product and process expertise will be incorporated into the PRC's Silicon and Glass Interposer Industry (SiGI) Consortium research program.

Another core technology focus for EVG is the MEMS arena.uy billabong outlet direct from us at low pricesCustomized imprinted and TMJ flash drives. In late June,All oil painting reproduction products are compiled of backing, EVG announced that its Gemini fully automated wafer-bonding system will be installed at Quebec's MiQro Innovation Collaborative Centre (C2MI), a partnership between Universite de Sherbrooke, Teledyne DALSA and IBM Canada. The Gemini system will be used to develop products that demonstrate advanced packaging of MEMS devices and full integration of CMOS and MEMS devices, with the end goal of successful transfer to high-volume manufacturing (HVM) scenarios.

As a strong proponent of education and early development of next-generation technologists, EVG has undertaken a sponsorship of SEMI High Tech U, an industry-driven math and science-based career exploration program created by the non-profit SEMI Foundation to support education and career awareness in high-technology fields. Its support will enable EVG to impact SEMI High Tech U's curriculum (which is designed to help students "make the connection" between the classroom and the real world of high tech) by meeting and collaborating with higher-learning institutions.

没有评论:

发表评论